Commit 4bd28894 authored by Alén Arias Vázquez's avatar Alén Arias Vázquez 😎

define repositories for submodules

parent 39df4b9e
......@@ -34,7 +34,7 @@ stages:
tags:
- vivado-template
script:
- cd syn/${PROJECT_NAME}/ && make build
- cd syn/${PROJECT_NAME}/ && make setup_lib LIB_DIR=test_ci
artifacts:
when: on_success
paths:
......@@ -44,6 +44,7 @@ stages:
gateware_spec:
extends: .gateware_job
variables:
GIT_SUBMODULE_STRATEGY: none
PROJECT_NAME: spec
rules:
- !reference [.default_rules, rules]
......
#!/usr/bin/make
# ##############################################################################
# Libraries
LIB_DIR?=../../ip_cores
build:
ETHERNET_CORE_TAG=master
GENERAL_CORES_TAG=masterFIP_v1.1.0
GN4124_CORE_TAG=proposed_master
MOCKTURTLE_TAG=v3.1.0
NANOFIP_TAG=master
WR_CORE_TAG=tom-wr-node
ETHERNET_CORE_URL=https://ohwr.org/hdl-core-lib/etherbone-core.git
GENERAL_CORES_URL=https://ohwr.org/hdl-core-lib/general-cores.git
GN4124_CORE_URL=https://ohwr.org/hdl-core-lib/gn4124-core.git
MOCKTURTLE_URL=https://gitlab.cern.ch/coht/mockturtle.git
NANOFIP_URL=https://ohwr.org/cern-fip/nanofip/nanofip-gateware.git
WR_CORE_URL=https://ohwr.org/project/wr-cores.git
ETHERNET_CORE_DIR=${LIB_DIR}/etherbone-core
GENERAL_CORES_DIR=${LIB_DIR}/general-cores
GN4124_CORE_DIR=${LIB_DIR}/gn4124-core
MOCKTURTLE_DIR=${LIB_DIR}/mockturtle
NANOFIP_DIR=${LIB_DIR}/nanofip
WR_CORE_DIR=${LIB_DIR}/wr-cores
# ##############################################################################
# CI commands
ifdef GITLAB_CI
ETHERNET_CORE_CMD='git clone --depth=1 -b ${ETHERNET_CORE_TAG} https://gitlab-reader:${CI_JOB_TOKEN}@${ETHERNET_CORE_URL} ${ETHERNET_CORE_DIR}'
GENERAL_CORES_CMD='git clone --depth=1 -b ${GENERAL_CORES_TAG} https://gitlab-reader:${CI_JOB_TOKEN}@${GENERAL_CORES_URL} ${GENERAL_CORES_DIR}'
GN4124_CORE_CMD='git clone -b ${GN4124_CORE_TAG} https://gitlab-reader:${CI_JOB_TOKEN}@${GN4124_CORE_URL} ${GN4124_CORE_DIR}'
MOCKTURTLE_CMD='git clone --depth=1 -b ${MOCKTURTLE_TAG} https://gitlab-reader:${CI_JOB_TOKEN}@${MOCKTURTLE_URL} ${MOCKTURTLE_DIR}'
NANOFIP_CMD='git clone --depth=1 -b ${NANOFIP_TAG} https://gitlab-reader:${CI_JOB_TOKEN}@${NANOFIP_URL} ${NANOFIP_DIR}'
WR_CORE_CMD='git clone --depth=1 -b ${WR_CORE_TAG} https://gitlab-reader:${CI_JOB_TOKEN}@${WR_CORE_URL} ${WR_CORE_DIR}'
else
ETHERNET_CORE_CMD='git clone -b ${ETHERNET_CORE_TAG} ${ETHERNET_CORE_URL} ${ETHERNET_CORE_DIR}'
GENERAL_CORES_CMD='git clone -b ${GENERAL_CORES_TAG} ${GENERAL_CORES_URL} ${GENERAL_CORES_DIR}'
GN4124_CORE_CMD='git clone -b ${GN4124_CORE_TAG} ${GN4124_CORE_URL} ${GN4124_CORE_DIR}'
MOCKTURTLE_CMD='git clone -b ${MOCKTURTLE_TAG} ${MOCKTURTLE_URL} ${MOCKTURTLE_DIR}'
NANOFIP_CMD='git clone -b ${NANOFIP_TAG} ${NANOFIP_URL} ${NANOFIP_DIR}'
WR_CORE_CMD='git clone -b ${WR_CORE_TAG} ${WR_CORE_URL} ${WR_CORE_DIR}'
endif
setup_lib:
/bin/bash -c ${ETHERNET_CORE_CMD}
/bin/bash -c ${GENERAL_CORES_CMD}
/bin/bash -c ${GN4124_CORE_CMD}
/bin/bash -c ${MOCKTURTLE_CMD}
/bin/bash -c ${NANOFIP_CMD}
/bin/bash -c ${WR_CORE_CMD}
/bin/bash -c 'cd ${GN4124_CORE_DIR} && git checkout e3a0bf97e125020c83bff6e40199a717e7fda738'
build: setup_lib
xtclsh build.tcl
check_build:
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment