Commit d461d109 authored by Alén Arias Vázquez's avatar Alén Arias Vázquez 😎

testing URL

parent 5d7346c4
......@@ -10,7 +10,7 @@ MOCKTURTLE_TAG=v3.1.0
NANOFIP_TAG=master
WR_CORE_TAG=tom-wr-node
ETHERNET_CORE_URL=https://ohwr.org/hdl-core-lib/etherbone-core.git
ETHERNET_CORE_URL=ohwr.org/hdl-core-lib/etherbone-core.git
GENERAL_CORES_URL=https://ohwr.org/hdl-core-lib/general-cores.git
GN4124_CORE_URL=https://ohwr.org/hdl-core-lib/gn4124-core.git
MOCKTURTLE_URL=https://gitlab.cern.ch/coht/mockturtle.git
......@@ -42,6 +42,7 @@ else
WR_CORE_CMD='git clone -b ${WR_CORE_TAG} ${WR_CORE_URL} ${WR_CORE_DIR}'
endif
# ##############################################################################
setup_lib:
/bin/bash -c 'echo "Cloninig submodules in ${LIB_DIR}"'
/bin/bash -c ${ETHERNET_CORE_CMD}
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment