Commit 266bf581 authored by Tristan Gingold's avatar Tristan Gingold

mt_mqueue_slot: fix lost claims.

parent df891a7c
......@@ -76,7 +76,7 @@ architecture arch of mt_mqueue_slot is
signal occupied : unsigned(7 downto 0);
signal words_written : unsigned(7 downto 0);
type t_wr_state is (IDLE, READY_SEND, ACCEPT_DATA, IGNORE_MESSAGE);
type t_wr_state is (IDLE, ACCEPT_DATA, IGNORE_MESSAGE);
type t_rd_state is (IDLE, WAIT_DISCARD);
signal mem_we : std_logic_vector(3 downto 0);
......@@ -252,11 +252,8 @@ begin
when ACCEPT_DATA =>
if in_ready = '1' then
wr_state <= READY_SEND;
wr_state <= IDLE;
end if;
when READY_SEND =>
wr_state <= IDLE;
end case;
end if;
end if;
......@@ -283,7 +280,7 @@ begin
end if;
end process p_read_side;
q_write <= '1' when wr_state = READY_SEND else '0';
q_write <= '1' when wr_state = ACCEPT_DATA and in_ready = '1' else '0';
q_read <= '1' when rd_state = WAIT_DISCARD and out_discard = '1' else '0';
p_counters : process(clk_i)
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment