Commit 33480235 authored by Dimitris Lampridis's avatar Dimitris Lampridis

Merge latest fixes from branch 'master' into proposed_master

parents 5e7c1f14 3b67b6f5
......@@ -55,7 +55,10 @@ module main;
.fp_gpio1_a2b_o (),
.fp_gpio2_a2b_o (),
.fp_gpio34_a2b_o (),
.fp_gpio_b (),
.fp_gpio1_b (),
.fp_gpio2_b (),
.fp_gpio3_b (),
.fp_gpio4_b (),
.sim_wb_i (Host.out),
.sim_wb_o (Host.in),
.VME_AS_n_i (),
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment