Commit 4533ff05 authored by Tristan Gingold's avatar Tristan Gingold

rtl: remove unused signal.

parent 0da8ca3b
...@@ -101,7 +101,6 @@ architecture arch of mt_urv_wrapper is ...@@ -101,7 +101,6 @@ architecture arch of mt_urv_wrapper is
signal dbg_insn : std_logic_vector(31 downto 0); signal dbg_insn : std_logic_vector(31 downto 0);
signal dwb_out : t_wishbone_master_out; signal dwb_out : t_wishbone_master_out;
signal bus_timeout_hit : std_logic;
signal bus_timeout_cnt : unsigned(7 downto 0); signal bus_timeout_cnt : unsigned(7 downto 0);
begin begin
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment