Commit 71462d86 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski Committed by Federico Vaga

wrtd:rd:tdc: moved WR sync state machine into RT code

It also adds a missed pulses counter
parent acfa1ace
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment