Commit 7b8d9420 authored by Federico Vaga's avatar Federico Vaga

fixup! demos: hopefully last fixes after header re-organization

parent 6740de55
......@@ -9,6 +9,6 @@
#ifndef __{{short_name_capital}}_COMMON_H
#define __{{short_name_capital}}_COMMON_H
#include <mockturtle.h>
#include <mockturtle/mockturtle.h>
#endif
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment