Commit abe35dc6 authored by Dimitris Lampridis's avatar Dimitris Lampridis

hdl: fix typo

parent 8f4b55f3
......@@ -40,7 +40,7 @@ entity mock_turtle_core is
generic (
-- Message Queue and CPU configuration
g_CONFIG : t_mt_config := c_DEFAULT_MT_CONFIG;
-- Frequency of clk_sys_i, in Hz
-- Frequency of clk_i, in Hz
g_SYSTEM_CLOCK_FREQ : integer := 62500000;
-- Enables/disables WR support
g_WITH_WHITE_RABBIT : boolean := FALSE);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment