Commit 7d13b53b authored by egousiou's avatar egousiou

wf_cons_oucome ctrl_byte check for status errror "=" replaced with "/=" (buuug)

+esthetix in all

git-svn-id: http://svn.ohwr.org/cern-fip/trunk/hdl/design@198 7f0067c9-7624-46c7-bd39-3fb5400c0213
parent 101d142c
...@@ -180,7 +180,7 @@ architecture RAM4K9 of DualClkRAM is ...@@ -180,7 +180,7 @@ architecture RAM4K9 of DualClkRAM is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -107,7 +107,7 @@ architecture syn of WF_DualClkRAM_clka_rd_clkb_wr is ...@@ -107,7 +107,7 @@ architecture syn of WF_DualClkRAM_clka_rd_clkb_wr is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -115,7 +115,7 @@ architecture rtl of WF_bits_to_txd is ...@@ -115,7 +115,7 @@ architecture rtl of WF_bits_to_txd is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -163,7 +163,7 @@ architecture rtl of WF_cons_bytes_processor is ...@@ -163,7 +163,7 @@ architecture rtl of WF_cons_bytes_processor is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -167,7 +167,7 @@ architecture rtl of WF_cons_outcome is ...@@ -167,7 +167,7 @@ architecture rtl of WF_cons_outcome is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
...@@ -213,7 +213,7 @@ begin ...@@ -213,7 +213,7 @@ begin
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
if ((rx_fss_crc_fes_manch_ok_p_i = '1') or (rx_crc_or_manch_wrong_p_i = '1')) and-- end of frame if ((rx_fss_crc_fes_manch_ok_p_i = '1') or (rx_crc_or_manch_wrong_p_i = '1')) and-- end of frame
((cons_ctrl_byte_i = c_RP_DAT_CTRL_BYTE) or -- CTRL byte check ((cons_ctrl_byte_i /= c_RP_DAT_CTRL_BYTE) or -- CTRL byte check
((cons_pdu_byte_i /= c_PROD_CONS_PDU_TYPE_BYTE) or -- PDU_TYPE byte check ((cons_pdu_byte_i /= c_PROD_CONS_PDU_TYPE_BYTE) or -- PDU_TYPE byte check
(unsigned(rx_byte_index_i ) /= (unsigned(cons_lgth_byte_i) + 5)))) then -- LGTH byte check (unsigned(rx_byte_index_i ) /= (unsigned(cons_lgth_byte_i) + 5)))) then -- LGTH byte check
......
...@@ -193,7 +193,7 @@ end entity WF_consumption; ...@@ -193,7 +193,7 @@ end entity WF_consumption;
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture declaration
--================================================================================================= --=================================================================================================
architecture struc of WF_consumption is architecture struc of WF_consumption is
...@@ -202,9 +202,8 @@ architecture struc of WF_consumption is ...@@ -202,9 +202,8 @@ architecture struc of WF_consumption is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -110,7 +110,7 @@ architecture rtl of WF_crc is ...@@ -110,7 +110,7 @@ architecture rtl of WF_crc is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -95,7 +95,7 @@ architecture rtl of WF_decr_counter is ...@@ -95,7 +95,7 @@ architecture rtl of WF_decr_counter is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -222,12 +222,12 @@ architecture rtl of WF_engine_control is ...@@ -222,12 +222,12 @@ architecture rtl of WF_engine_control is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
--------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------
-- engine_control FSM -- -- engine_control FSM --
--------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------
--!@brief central control FSM: the state machine is divided in three parts (a clocked process --!@brief central control FSM: the state machine is divided in three parts (a clocked process
......
...@@ -152,9 +152,8 @@ architecture struc of WF_fd_receiver is ...@@ -152,9 +152,8 @@ architecture struc of WF_fd_receiver is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -149,7 +149,7 @@ architecture struc of WF_fd_transmitter is ...@@ -149,7 +149,7 @@ architecture struc of WF_fd_transmitter is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -91,7 +91,7 @@ constant c_COUNTER_FULL : unsigned (g_counter_lgth-1 downto 0) := (others => '1' ...@@ -91,7 +91,7 @@ constant c_COUNTER_FULL : unsigned (g_counter_lgth-1 downto 0) := (others => '1'
signal s_counter : unsigned (g_counter_lgth-1 downto 0); signal s_counter : unsigned (g_counter_lgth-1 downto 0);
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -118,7 +118,7 @@ architecture rtl of WF_model_constr_decoder is ...@@ -118,7 +118,7 @@ architecture rtl of WF_model_constr_decoder is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -64,7 +64,6 @@ use ieee.numeric_std.all; ...@@ -64,7 +64,6 @@ use ieee.numeric_std.all;
--================================================================================================= --=================================================================================================
--! Package declaration for WF_package --! Package declaration for WF_package
--================================================================================================= --=================================================================================================
package WF_package is package WF_package is
......
...@@ -202,7 +202,7 @@ architecture rtl of WF_prod_bytes_retriever is ...@@ -202,7 +202,7 @@ architecture rtl of WF_prod_bytes_retriever is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -122,7 +122,7 @@ architecture behavior of WF_prod_data_lgth_calc is ...@@ -122,7 +122,7 @@ architecture behavior of WF_prod_data_lgth_calc is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -96,7 +96,7 @@ architecture rtl of WF_prod_permit is ...@@ -96,7 +96,7 @@ architecture rtl of WF_prod_permit is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -232,7 +232,7 @@ architecture struc of WF_production is ...@@ -232,7 +232,7 @@ architecture struc of WF_production is
signal s_stat, s_mps : std_logic_vector (7 downto 0); signal s_stat, s_mps : std_logic_vector (7 downto 0);
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -223,7 +223,7 @@ architecture rtl of WF_reset_unit is ...@@ -223,7 +223,7 @@ architecture rtl of WF_reset_unit is
--================================================================================================= --=================================================================================================
--! architecture begin --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -108,7 +108,7 @@ architecture Behavioral of WF_rx_deglitcher is ...@@ -108,7 +108,7 @@ architecture Behavioral of WF_rx_deglitcher is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -209,7 +209,7 @@ architecture rtl of WF_rx_deserializer is ...@@ -209,7 +209,7 @@ architecture rtl of WF_rx_deserializer is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -137,7 +137,7 @@ architecture rtl of WF_rx_osc is ...@@ -137,7 +137,7 @@ architecture rtl of WF_rx_osc is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -197,7 +197,7 @@ architecture rtl of WF_status_bytes_gen is ...@@ -197,7 +197,7 @@ architecture rtl of WF_status_bytes_gen is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -118,7 +118,7 @@ architecture rtl of WF_tx_osc is ...@@ -118,7 +118,7 @@ architecture rtl of WF_tx_osc is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -157,7 +157,7 @@ architecture rtl of WF_tx_serializer is ...@@ -157,7 +157,7 @@ architecture rtl of WF_tx_serializer is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
...@@ -106,7 +106,7 @@ architecture rtl of WF_wb_controller is ...@@ -106,7 +106,7 @@ architecture rtl of WF_wb_controller is
--================================================================================================= --=================================================================================================
--! architecture declaration --! architecture begin
--================================================================================================= --=================================================================================================
begin begin
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment