Commit 2fbefe7a authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

hdl/top/golden: use git version of vme64x-core

parent aed2766c
...@@ -4,6 +4,6 @@ fetchto = "../../ip_cores" ...@@ -4,6 +4,6 @@ fetchto = "../../ip_cores"
modules = { modules = {
"local": [ "../../platform", "../../rtl/golden" ], "local": [ "../../platform", "../../rtl/golden" ],
"git" : [ "git://ohwr.org/hdl-core-lib/general-cores.git" ], "git" : [ "git://ohwr.org/hdl-core-lib/general-cores.git",
"svn" : [ "http://svn.ohwr.org/vme64x-core/trunk/hdl/vme64x-core/rtl" ] "git://ohwr.org/hdl-core-lib/vme64x-core.git" ]
} }
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment