Commit a4637f37 authored by Federico Vaga's avatar Federico Vaga

Merge branch 'release/v1.4.11' into develop

parents 3cd3234e 1b803764
......@@ -2,8 +2,14 @@
Change Log
==========
[1.4.11] 2020-05-20
===================
Added
-----
- [hdl] export DDMTD clock output
[1.4.10] 2020-05-12
==================
===================
Added
-----
- [hdl] metadata source-id automatic assignment
......@@ -46,7 +52,8 @@ Fixed
-----
- [hdl] DDR constraints
- [hdl] DDR controller generic values are now properly capitalised
- [sw] Update svec-flasher to work with new type of flash memory used in newer SVEC boards
- [sw] Update svec-flasher to work with new type of flash memory used in
newer SVEC boards
[1.4.6] 2019-12-16
==================
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment