Commit b0f15427 authored by Tristan Gingold's avatar Tristan Gingold

Update Manifest.py and vmecore_test after last change in vme64x_core.

parent 6890d830
...@@ -13,6 +13,3 @@ modules = { ...@@ -13,6 +13,3 @@ modules = {
"../../top/vmecore_test", "../../top/vmecore_test",
], ],
} }
fetchto="../../ip_cores"
fetchto = "../../ip_cores"
files = [ files = [
"svec_vmecore_test_top.vhd", "svec_vmecore_test_top.vhd",
"svec_vmecore_test_top.ucf", "svec_vmecore_test_top.ucf",
"vmecore_test.vhd", "vmecore_test.vhd",
] ]
modules = { modules = { "local": [ "../../ip_cores/general-cores",
"git" : [ "git://ohwr.org/hdl-core-lib/general-cores.git", "../../ip_cores/vme64x-core" ]
"git://ohwr.org/hdl-core-lib/vme64x-core.git" ]
} }
...@@ -7,7 +7,7 @@ ...@@ -7,7 +7,7 @@
-- Author(s) : Tristan Gingold <tristan.gingold@cern.ch> -- Author(s) : Tristan Gingold <tristan.gingold@cern.ch>
-- Company : CERN (BE-CO-HT) -- Company : CERN (BE-CO-HT)
-- Created : 2017-09-19 -- Created : 2017-09-19
-- Last update: 2017-10-09 -- Last update: 2017-11-14
-- Standard : VHDL'93 -- Standard : VHDL'93
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Description: Top-level file for the test design . -- Description: Top-level file for the test design .
...@@ -42,7 +42,7 @@ use ieee.numeric_std.all; ...@@ -42,7 +42,7 @@ use ieee.numeric_std.all;
library work; library work;
use work.gencores_pkg.all; use work.gencores_pkg.all;
use work.wishbone_pkg.all; use work.wishbone_pkg.all;
use work.vme64x_pack.all; use work.vme64x_pkg.all;
library unisim; library unisim;
use unisim.vcomponents.all; use unisim.vcomponents.all;
...@@ -288,7 +288,7 @@ begin -- architecture top ...@@ -288,7 +288,7 @@ begin -- architecture top
-- VME64x Core and buffers -- VME64x Core and buffers
----------------------------------------------------------------------------- -----------------------------------------------------------------------------
inst_vme_core : vme64xcore_top inst_vme_core : vme64x_core
generic map ( generic map (
g_CLOCK_PERIOD => 8, g_CLOCK_PERIOD => 8,
g_USER_CSR_EXT => False) g_USER_CSR_EXT => False)
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment