Commit 802c1532 authored by Tristan Gingold's avatar Tristan Gingold

urv_pkg.vhd: update generics

parent a0c2ed8e
......@@ -7,10 +7,11 @@ package urv_pkg is
g_timer_frequency : natural := 1000;
g_clock_frequency : natural := 100000000;
g_with_hw_div : natural := 1;
g_with_hw_mulh : natural := 1;
g_with_hw_mul : natural := 1;
g_with_hw_debug : natural := 0;
g_with_compressed_insns : natural := 0;
g_with_ecc : natural := 0);
g_with_ecc : natural := 0;
g_with_compressed_insns : natural := 0);
port (
clk_i : in std_logic;
rst_i : in std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment