Commit 877f35ee authored by Dimitris Lampridis's avatar Dimitris Lampridis

Merge branch '15-hdl-adjust-after-inout-port-removal' into 'master'

Resolve "HDL: adjust after inout port removal"

Closes #15

See merge request be-cem-edl/fec/hardware-modules/vme-sbc-a25-pcie-vme-bridge!11
parents 8d3ad14a 7145e33b
......@@ -218,7 +218,17 @@ END COMPONENT;
SIGNAL sr_d_out : std_logic_vector(15 DOWNTO 0);
SIGNAL sr_d_in : std_logic_vector(15 DOWNTO 0);
SIGNAL vme_a_out : std_logic_vector(31 downto 0);
SIGNAL vme_a_oe_n_int : std_logic;
SIGNAL vme_a_dir_int : std_logic;
SIGNAL vme_d_out : std_logic_vector(31 downto 0);
SIGNAL vme_d_oe_n_int : std_logic;
SIGNAL vme_d_dir_int : std_logic;
SIGNAL vme_write_n_out : std_logic;
SIGNAL vme_am_out : std_logic_vector(5 downto 0);
SIGNAL vme_iack_n_out : std_logic;
SIGNAL vme_am_oe_n_int : std_logic;
SIGNAL vme_am_dir_int : std_logic;
SIGNAL vme_irq : std_logic_vector(7 DOWNTO 0); -- interrupt request to pci-bus
SIGNAL berr_irq : std_logic; -- signal berrn interrupt request
SIGNAL locmon_irq : std_logic_vector(1 DOWNTO 0); -- interrupt request location monitor to pci-bus
......@@ -658,11 +668,16 @@ PORT MAP (
pci_ack_i => wbmi_3.ack,
pci_err_i => wbmi_3.err,
va => vme_a,
vd => vme_d,
vam => vme_am,
writen => vme_write_n,
iackn => vme_iack_n,
va_o => vme_a_out,
va_i => vme_a,
vd_o => vme_d_out,
vd_i => vme_d,
vam_o => vme_am_out,
vam_i => vme_am,
writen_o => vme_write_n_out,
writen_i => vme_write_n,
iackn_o => vme_iack_n_out,
iackn_i => vme_iack_n,
irq_i_n => vme_irq_i_n,
irq_o_n => vme_irq_o_n,
as_o_n => vme_as_o_n,
......@@ -698,15 +713,29 @@ PORT MAP (
gap => vme_gap,
vme_berr => vme_berr,
vme_mstr_busy => vme_mstr_busy,
d_dir => vme_d_dir ,
d_oe_n => vme_d_oe_n ,
am_dir => vme_am_dir ,
am_oe_n => vme_am_oe_n,
a_dir => vme_a_dir ,
a_oe_n => vme_a_oe_n ,
d_dir => vme_d_dir_int,
d_oe_n => vme_d_oe_n_int,
am_dir => vme_am_dir_int,
am_oe_n => vme_am_oe_n_int,
a_dir => vme_a_dir_int,
a_oe_n => vme_a_oe_n_int,
v2p_rst => v2p_rst
);
vme_a_oe_n <= vme_a_oe_n_int;
vme_a_dir <= vme_a_dir_int;
vme_a <= vme_a_out when vme_a_oe_n_int = '0' and vme_a_dir_int = '1' else (others => 'Z');
vme_d_oe_n <= vme_d_oe_n_int;
vme_d_dir <= vme_d_dir_int;
vme_d <= vme_d_out when vme_d_oe_n_int = '0' and vme_d_dir_int = '1' else (others => 'Z');
vme_am_oe_n <= vme_am_oe_n_int;
vme_am_dir <= vme_am_dir_int;
vme_write_n <= vme_write_n_out when vme_am_oe_n_int = '0' and vme_am_dir_int = '1' else 'Z';
vme_am <= vme_am_out when vme_am_oe_n_int = '0' and vme_am_dir_int = '1' else (others => 'Z');
vme_iack_n <= vme_iack_n_out when vme_am_oe_n_int = '0' and vme_am_dir_int = '1' else 'Z';
wbb : entity work.wb_bus
GENERIC MAP (
sets => sets,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment