• Grzegorz Daniluk's avatar
    wr_endpoint: fix sof detection in tx_framer · c3436b41
    Grzegorz Daniluk authored
    Under higher load of traffic SOF was being detected while main FSM was
    not yet done with sending frame. That caused OOB FSM to reset and "tx
    timestamp never became available" warnings in WR PTP Core software.
    c3436b41
ep_tx_framer.vhd 25.4 KB