• Maciej Lipinski's avatar
    [PCS bugfix] remove a 1-cyc glitch from link_ok_o signal · 043c0d3e
    Maciej Lipinski authored
    Such glitch happened after the autonegotation FSM was in pseudo
    AN_ENABLED state caused by synced=LOW (in this state, link_ok is
    HIGH). When synced goes HIGH, the FSM enters "proper" AN_ENABLED
    state, it drives link_ok LOW.s All in all, this glitch is avoided
    then we use delayed synced_d1 to produce the final link_ok_o.
    I did it here to avoid any changes to autonegotiation state machine.
    043c0d3e
ep_1000basex_pcs.vhd 22.2 KB