Commit 23b49fd8 authored by Wesley W. Terpstra's avatar Wesley W. Terpstra

eca: regenerate interface files

parent d480733a
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
--! @file eca_queue_auto_pkg.vhd --! @file eca_queue_auto_pkg.vhd
-- DesignUnit eca_queue_auto -- DesignUnit eca_queue_auto
--! @author Wesley W. Terpstra <w.terpstra@gsi.de> --! @author Wesley W. Terpstra <w.terpstra@gsi.de>
--! @date 06/04/2016 --! @date 08/04/2016
--! @version 2.0 --! @version 2.0
--! @copyright 2016 GSI Helmholtz Centre for Heavy Ion Research GmbH --! @copyright 2016 GSI Helmholtz Centre for Heavy Ion Research GmbH
--! --!
...@@ -38,56 +38,56 @@ use work.wbgenplus_pkg.all; ...@@ -38,56 +38,56 @@ use work.wbgenplus_pkg.all;
use work.genram_pkg.all; use work.genram_pkg.all;
package eca_queue_auto_pkg is package eca_queue_auto_pkg is
constant c_queue_id_GET : natural := 16#00#; -- ro, 8 b, constant c_queue_id_GET : natural := 16#00#; -- ro, 8 b, The index of a_channel_o from the ECA to which this queue is connected (set channel_select=queue_id+1)
constant c_pop_OWR : natural := 16#04#; -- wo, 1 b, constant c_pop_OWR : natural := 16#04#; -- wo, 1 b, Pop action from the channel's queue
constant c_flags_GET : natural := 16#08#; -- ro, 5 b, constant c_flags_GET : natural := 16#08#; -- ro, 5 b, Error flags for this action(0=late, 1=early, 2=conflict, 3=delayed, 4=valid)
constant c_num_GET : natural := 16#0c#; -- ro, 8 b, constant c_num_GET : natural := 16#0c#; -- ro, 8 b, Subchannel target
constant c_event_id_hi_GET : natural := 16#10#; -- ro, 32 b, constant c_event_id_hi_GET : natural := 16#10#; -- ro, 32 b, Event ID (high word)
constant c_event_id_lo_GET : natural := 16#14#; -- ro, 32 b, constant c_event_id_lo_GET : natural := 16#14#; -- ro, 32 b, Event ID (low word)
constant c_param_hi_GET : natural := 16#18#; -- ro, 32 b, constant c_param_hi_GET : natural := 16#18#; -- ro, 32 b, Parameter (high word)
constant c_param_lo_GET : natural := 16#1c#; -- ro, 32 b, constant c_param_lo_GET : natural := 16#1c#; -- ro, 32 b, Parameter (low word)
constant c_tag_GET : natural := 16#20#; -- ro, 32 b, constant c_tag_GET : natural := 16#20#; -- ro, 32 b, Tag from the condition
constant c_tef_GET : natural := 16#24#; -- ro, 32 b, constant c_tef_GET : natural := 16#24#; -- ro, 32 b, Timing extension field
constant c_deadline_hi_GET : natural := 16#28#; -- ro, 32 b, constant c_deadline_hi_GET : natural := 16#28#; -- ro, 32 b, Deadline (high word)
constant c_deadline_lo_GET : natural := 16#2c#; -- ro, 32 b, constant c_deadline_lo_GET : natural := 16#2c#; -- ro, 32 b, Deadline (low word)
constant c_executed_hi_GET : natural := 16#30#; -- ro, 32 b, constant c_executed_hi_GET : natural := 16#30#; -- ro, 32 b, Actual execution time (high word)
constant c_executed_lo_GET : natural := 16#34#; -- ro, 32 b, constant c_executed_lo_GET : natural := 16#34#; -- ro, 32 b, Actual execution time (low word)
--| Component ---------------------- eca_queue_auto -----------------------------------------| --| Component ---------------------- eca_queue_auto -----------------------------------------|
component eca_queue_auto is component eca_queue_auto is
generic( generic(
g_queue_id : natural := 0 -- g_queue_id : natural := 0 --The index of a_channel_o from the ECA to which this queue is connected (set channel_select=queue_id+1)
); );
Port( Port(
clk_sys_i : std_logic; -- Clock input for sys domain clk_sys_i : std_logic; -- Clock input for sys domain
rst_sys_n_i : std_logic; -- Reset input (active low) for sys domain rst_sys_n_i : std_logic; -- Reset input (active low) for sys domain
deadline_hi_i : in std_logic_vector(32-1 downto 0); -- deadline_hi_i : in std_logic_vector(32-1 downto 0); -- Deadline (high word)
deadline_hi_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - deadline_hi deadline_hi_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - deadline_hi
deadline_lo_i : in std_logic_vector(32-1 downto 0); -- deadline_lo_i : in std_logic_vector(32-1 downto 0); -- Deadline (low word)
deadline_lo_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - deadline_lo deadline_lo_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - deadline_lo
error_i : in std_logic_vector(1-1 downto 0); -- Error control error_i : in std_logic_vector(1-1 downto 0); -- Error control
event_id_hi_i : in std_logic_vector(32-1 downto 0); -- event_id_hi_i : in std_logic_vector(32-1 downto 0); -- Event ID (high word)
event_id_hi_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - event_id_hi event_id_hi_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - event_id_hi
event_id_lo_i : in std_logic_vector(32-1 downto 0); -- event_id_lo_i : in std_logic_vector(32-1 downto 0); -- Event ID (low word)
event_id_lo_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - event_id_lo event_id_lo_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - event_id_lo
executed_hi_i : in std_logic_vector(32-1 downto 0); -- executed_hi_i : in std_logic_vector(32-1 downto 0); -- Actual execution time (high word)
executed_hi_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - executed_hi executed_hi_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - executed_hi
executed_lo_i : in std_logic_vector(32-1 downto 0); -- executed_lo_i : in std_logic_vector(32-1 downto 0); -- Actual execution time (low word)
executed_lo_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - executed_lo executed_lo_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - executed_lo
flags_i : in std_logic_vector(5-1 downto 0); -- flags_i : in std_logic_vector(5-1 downto 0); -- Error flags for this action(0=late, 1=early, 2=conflict, 3=delayed, 4=valid)
flags_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - flags flags_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - flags
num_i : in std_logic_vector(8-1 downto 0); -- num_i : in std_logic_vector(8-1 downto 0); -- Subchannel target
num_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - num num_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - num
param_hi_i : in std_logic_vector(32-1 downto 0); -- param_hi_i : in std_logic_vector(32-1 downto 0); -- Parameter (high word)
param_hi_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - param_hi param_hi_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - param_hi
param_lo_i : in std_logic_vector(32-1 downto 0); -- param_lo_i : in std_logic_vector(32-1 downto 0); -- Parameter (low word)
param_lo_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - param_lo param_lo_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - param_lo
stall_i : in std_logic_vector(1-1 downto 0); -- flow control stall_i : in std_logic_vector(1-1 downto 0); -- flow control
tag_i : in std_logic_vector(32-1 downto 0); -- tag_i : in std_logic_vector(32-1 downto 0); -- Tag from the condition
tag_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - tag tag_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - tag
tef_i : in std_logic_vector(32-1 downto 0); -- tef_i : in std_logic_vector(32-1 downto 0); -- Timing extension field
tef_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - tef tef_V_i : in std_logic_vector(1-1 downto 0); -- Valid flag - tef
pop_o : out std_logic_vector(1-1 downto 0); -- pop_o : out std_logic_vector(1-1 downto 0); -- Pop action from the channel's queue
slave_i : in t_wishbone_slave_in; slave_i : in t_wishbone_slave_in;
slave_o : out t_wishbone_slave_out slave_o : out t_wishbone_slave_out
...@@ -109,7 +109,7 @@ package eca_queue_auto_pkg is ...@@ -109,7 +109,7 @@ package eca_queue_auto_pkg is
vendor_id => x"0000000000000651", vendor_id => x"0000000000000651",
device_id => x"d5a3faea", device_id => x"d5a3faea",
version => x"00000020", version => x"00000020",
date => x"20160406", date => x"20160408",
name => "ECA_UNIT:QUEUE "))); name => "ECA_UNIT:QUEUE ")));
end eca_queue_auto_pkg; end eca_queue_auto_pkg;
......
/** @file eca_queue_regs.h /** @file eca_queue_regs.h
* DesignUnit eca_queue * DesignUnit eca_queue
* @author Wesley W. Terpstra <w.terpstra@gsi.de> * @author Wesley W. Terpstra <w.terpstra@gsi.de>
* @date 06/04/2016 * @date 08/04/2016
* @version 2.0 * @version 2.0
* @copyright 2016 GSI Helmholtz Centre for Heavy Ion Research GmbH * @copyright 2016 GSI Helmholtz Centre for Heavy Ion Research GmbH
* *
...@@ -14,19 +14,19 @@ ...@@ -14,19 +14,19 @@
#define ECA_QUEUE_SDB_VENDOR_ID 0x00000651 #define ECA_QUEUE_SDB_VENDOR_ID 0x00000651
#define ECA_QUEUE_SDB_DEVICE_ID 0xd5a3faea #define ECA_QUEUE_SDB_DEVICE_ID 0xd5a3faea
#define ECA_QUEUE_QUEUE_ID_GET 0x00 //ro, 8 b, #define ECA_QUEUE_QUEUE_ID_GET 0x00 //ro, 8 b, The index of a_channel_o from the ECA to which this queue is connected (set channel_select=queue_id+1)
#define ECA_QUEUE_POP_OWR 0x04 //wo, 1 b, #define ECA_QUEUE_POP_OWR 0x04 //wo, 1 b, Pop action from the channel's queue
#define ECA_QUEUE_FLAGS_GET 0x08 //ro, 5 b, #define ECA_QUEUE_FLAGS_GET 0x08 //ro, 5 b, Error flags for this action(0=late, 1=early, 2=conflict, 3=delayed, 4=valid)
#define ECA_QUEUE_NUM_GET 0x0c //ro, 8 b, #define ECA_QUEUE_NUM_GET 0x0c //ro, 8 b, Subchannel target
#define ECA_QUEUE_EVENT_ID_HI_GET 0x10 //ro, 32 b, #define ECA_QUEUE_EVENT_ID_HI_GET 0x10 //ro, 32 b, Event ID (high word)
#define ECA_QUEUE_EVENT_ID_LO_GET 0x14 //ro, 32 b, #define ECA_QUEUE_EVENT_ID_LO_GET 0x14 //ro, 32 b, Event ID (low word)
#define ECA_QUEUE_PARAM_HI_GET 0x18 //ro, 32 b, #define ECA_QUEUE_PARAM_HI_GET 0x18 //ro, 32 b, Parameter (high word)
#define ECA_QUEUE_PARAM_LO_GET 0x1c //ro, 32 b, #define ECA_QUEUE_PARAM_LO_GET 0x1c //ro, 32 b, Parameter (low word)
#define ECA_QUEUE_TAG_GET 0x20 //ro, 32 b, #define ECA_QUEUE_TAG_GET 0x20 //ro, 32 b, Tag from the condition
#define ECA_QUEUE_TEF_GET 0x24 //ro, 32 b, #define ECA_QUEUE_TEF_GET 0x24 //ro, 32 b, Timing extension field
#define ECA_QUEUE_DEADLINE_HI_GET 0x28 //ro, 32 b, #define ECA_QUEUE_DEADLINE_HI_GET 0x28 //ro, 32 b, Deadline (high word)
#define ECA_QUEUE_DEADLINE_LO_GET 0x2c //ro, 32 b, #define ECA_QUEUE_DEADLINE_LO_GET 0x2c //ro, 32 b, Deadline (low word)
#define ECA_QUEUE_EXECUTED_HI_GET 0x30 //ro, 32 b, #define ECA_QUEUE_EXECUTED_HI_GET 0x30 //ro, 32 b, Actual execution time (high word)
#define ECA_QUEUE_EXECUTED_LO_GET 0x34 //ro, 32 b, #define ECA_QUEUE_EXECUTED_LO_GET 0x34 //ro, 32 b, Actual execution time (low word)
#endif #endif
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment