Commit 3eecd1a4 authored by Wesley W. Terpstra's avatar Wesley W. Terpstra

Add the new repository for etherbone

parent dafa6802
......@@ -2,7 +2,7 @@ fetchto = "../../../ip_cores"
modules = {
"local" : "../../../modules/mini_bone",
"svn" : "http://svn.ohwr.org/etherbone-core/hdl/EB_SPEC_Test"
"git" : "git://ohwr.org/hdl-core-lib/etherbone-core.git"
};
files = ["exploder_top.sdc", "exploder_top.vhd", "pow_reset.vhd", "spec_serial_dac.vhd", "flash_loader.vhd"]
......@@ -2,7 +2,7 @@ fetchto = "../../../ip_cores"
modules = {
"local" : "../../../modules/mini_bone",
"svn" : "http://svn.ohwr.org/etherbone-core/hdl/EB_SPEC_Test"
"git" : "git://ohwr.org/hdl-core-lib/etherbone-core.git"
};
files = ["scu_top.vhd", "pow_reset.vhd", "spec_serial_dac_arb.vhd",
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment