Commit 4aa860a1 authored by Pascal Bos's avatar Pascal Bos

teeny tiny syntax error fix

parent 5918b9ca
......@@ -195,7 +195,7 @@ entity spec7_write_top is
rxn : in std_logic_vector(1 downto 0);
rxp : in std_logic_vector(1 downto 0);
txn : out std_logic_vector(1 downto 0);
txp : out std_logic_vector(1 downto 0)
txp : out std_logic_vector(1 downto 0);
---------------------------------------------------------------------------
-- Processing system
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment