Commit 4b20764a authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

xwrc_board_common: removed dependency on etherbone package

parent 01932e88
......@@ -41,7 +41,6 @@ library work;
use work.gencores_pkg.all;
use work.wrcore_pkg.all;
use work.wishbone_pkg.all;
use work.etherbone_pkg.all;
use work.wr_fabric_pkg.all;
use work.endpoint_pkg.all;
use work.streamers_pkg.all;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment