Commit 5ed42b0f authored by A. Hahn's avatar A. Hahn

platform: added component wr_arria10_tx_pll

parent d3909900
......@@ -336,6 +336,16 @@ package wr_altera_pkg is
);
end component wr_arria10_atx_pll;
component wr_arria10_tx_pll is
port (
pll_refclk0 : in std_logic := 'X';
pll_powerdown : in std_logic := 'X';
pll_locked : out std_logic;
tx_serial_clk : out std_logic;
pll_cal_busy : out std_logic
);
end component wr_arria10_tx_pll;
-------------------------------------------------------------------------------
component wr_arria10_rst_ctl is
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment