Commit 7fc7954a authored by A. Hahn's avatar A. Hahn

wr_core/softpll_ng: fixed irq bug

parent 8b596d0e
......@@ -593,7 +593,6 @@ begin -- rtl
wb_out.err <= '0';
wb_out.rty <= '0';
wb_out.stall <= '0';
wb_out.int <= '0';
p_ocer_rcer_regs : process(clk_sys_i)
begin
......@@ -807,3 +806,4 @@ begin -- rtl
regs_out.trr_disc_i <= '0';
end rtl;
......@@ -183,7 +183,7 @@ architecture wrapper of xwr_softpll_ng is
wb_we_i : in std_logic;
wb_ack_o : out std_logic;
wb_stall_o : out std_logic;
irq_o : out std_logic;
wb_irq_o : out std_logic;
debug_o : out std_logic_vector(5 downto 0);
dbg_fifo_irq_o : out std_logic);
end component;
......@@ -236,7 +236,7 @@ begin -- behavioral
wb_we_i => slave_i.we,
wb_ack_o => slave_o.ack,
wb_stall_o => slave_o.stall,
irq_o => int_o,
wb_irq_o => int_o,
debug_o => debug_o,
dbg_fifo_irq_o => dbg_fifo_irq_o);
......@@ -244,3 +244,4 @@ begin -- behavioral
slave_o.rty <= '0';
end wrapper;
......@@ -677,7 +677,8 @@ begin
slave_i => spll_wb_in,
slave_o => spll_wb_out,
debug_o => open);
debug_o => open,
int_o => softpll_irq);
clk_fb(0) <= clk_ref_i;
clk_fb(g_aux_clks downto 1) <= clk_aux_i;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment