Commit 8219c63e authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

xwrc_platform_xilinx: fix forwarding of SFP signals

parent 0f9a77c9
......@@ -325,7 +325,9 @@ begin
pad_rxn1_i => sfp_rxn_i,
pad_rxp1_i => sfp_rxp_i
);
sfp_tx_disable_o <= '0';
sfp_tx_disable_o <= phy8_i.sfp_tx_disable;
phy8_o.sfp_tx_fault <= sfp_tx_fault_i;
phy8_o.sfp_los <= sfp_los_i;
end generate gen_phy_spartan6;
gen_phy_unknown: if(g_family /= "spartan6") generate
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment