Commit 8889fdbe authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

rename newly imported wrsw_nic to wr_nic

parent 090f3c4e
...@@ -8,6 +8,6 @@ files = [ "nic_constants_pkg.vhd" , ...@@ -8,6 +8,6 @@ files = [ "nic_constants_pkg.vhd" ,
"nic_elastic_buffer.vhd", "nic_elastic_buffer.vhd",
"nic_bw_throttling.vhd", "nic_bw_throttling.vhd",
"nic_wbgen2_pkg.vhd", "nic_wbgen2_pkg.vhd",
"xwrsw_nic.vhd", "xwr_nic.vhd",
"wrsw_nic.vhd"]; "wr_nic.vhd"];
#!/bin/bash
mkdir -p doc
wbgen2 -D ./doc/wr_nic.html -V nic_wishbone_slave.vhd --cstyle defines --lang vhdl -K ../../sim/regs/nic_regs.vh -p nic_wbgen2_pkg.vhd --hstyle record wr_nic.wb
...@@ -2,7 +2,7 @@ ...@@ -2,7 +2,7 @@
-- Title : Network Interface Controller -- Title : Network Interface Controller
-- Project : White Rabbit Switch -- Project : White Rabbit Switch
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- File : wrsw_nic.vhd -- File : wr_nic.vhd
-- Author : Tomasz Wlostowski, Grzegorz Daniluk -- Author : Tomasz Wlostowski, Grzegorz Daniluk
-- Company : CERN BE-CO-HT -- Company : CERN BE-CO-HT
-- Created : 2012-01-19 -- Created : 2012-01-19
...@@ -11,7 +11,7 @@ ...@@ -11,7 +11,7 @@
-- Standard : VHDL -- Standard : VHDL
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Description: -- Description:
-- std-logic-based wrapper for xwrsw_nic module -- std-logic-based wrapper for xwr_nic module
-- --
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- --
...@@ -43,7 +43,7 @@ library work; ...@@ -43,7 +43,7 @@ library work;
use work.wishbone_pkg.all; use work.wishbone_pkg.all;
use work.wr_fabric_pkg.all; use work.wr_fabric_pkg.all;
entity wrsw_nic is entity wr_nic is
generic generic
( (
g_interface_mode : t_wishbone_interface_mode := CLASSIC; g_interface_mode : t_wishbone_interface_mode := CLASSIC;
...@@ -110,11 +110,11 @@ entity wrsw_nic is ...@@ -110,11 +110,11 @@ entity wrsw_nic is
); );
end wrsw_nic; end wr_nic;
architecture rtl of wrsw_nic is architecture rtl of wr_nic is
component xwrsw_nic component xwr_nic
generic ( generic (
g_interface_mode : t_wishbone_interface_mode; g_interface_mode : t_wishbone_interface_mode;
g_address_granularity : t_wishbone_address_granularity; g_address_granularity : t_wishbone_address_granularity;
...@@ -151,7 +151,7 @@ architecture rtl of wrsw_nic is ...@@ -151,7 +151,7 @@ architecture rtl of wrsw_nic is
begin begin
U_Wrapped_NIC : xwrsw_nic U_Wrapped_NIC : xwr_nic
generic map ( generic map (
g_interface_mode => g_interface_mode, g_interface_mode => g_interface_mode,
g_address_granularity => g_address_granularity, g_address_granularity => g_address_granularity,
......
...@@ -2,7 +2,7 @@ ...@@ -2,7 +2,7 @@
-- Title : Network Interface Controller -- Title : Network Interface Controller
-- Project : White Rabbit Switch -- Project : White Rabbit Switch
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- File : xwrsw_nic.vhd -- File : xwr_nic.vhd
-- Author : Tomasz Wlostowski, Grzegorz Daniluk -- Author : Tomasz Wlostowski, Grzegorz Daniluk
-- Company : CERN BE-CO-HT -- Company : CERN BE-CO-HT
-- Created : 2012-01-19 -- Created : 2012-01-19
...@@ -56,7 +56,7 @@ use work.wr_fabric_pkg.all; ...@@ -56,7 +56,7 @@ use work.wr_fabric_pkg.all;
use work.nic_wbgen2_pkg.all; use work.nic_wbgen2_pkg.all;
entity xwrsw_nic is entity xwr_nic is
generic generic
( (
g_interface_mode : t_wishbone_interface_mode := CLASSIC; g_interface_mode : t_wishbone_interface_mode := CLASSIC;
...@@ -105,9 +105,9 @@ entity xwrsw_nic is ...@@ -105,9 +105,9 @@ entity xwrsw_nic is
rmon_events_o : out std_logic_vector(g_port_mask_bits*g_rmon_events_pp-1 downto 0) rmon_events_o : out std_logic_vector(g_port_mask_bits*g_rmon_events_pp-1 downto 0)
); );
end xwrsw_nic; end xwr_nic;
architecture rtl of xwrsw_nic is architecture rtl of xwr_nic is
component nic_descriptor_manager component nic_descriptor_manager
generic ( generic (
......
#!/bin/bash
mkdir -p doc
wbgen2 -D ./doc/wrsw_nic.html -V nic_wishbone_slave.vhd --cstyle defines --lang vhdl -K ../../sim/regs/nic_regs.vh -p nic_wbgen2_pkg.vhd --hstyle record wr_nic.wb
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment