Commit 8b7d96f9 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

wr_endpoint: ep_rx_bypass_queue: added use for Xilinx libraries (shiftreg to be…

wr_endpoint: ep_rx_bypass_queue: added use for Xilinx libraries (shiftreg to be moved to general-cores)
parent b2edc7ba
......@@ -6,7 +6,7 @@
-- Author : Tomasz Włostowski
-- Company : CERN BE-CO-HT
-- Created : 2011-08-10
-- Last update: 2011-10-18
-- Last update: 2011-10-25
-- Platform : FPGA-generic
-- Standard : VHDL'93
-------------------------------------------------------------------------------
......@@ -153,10 +153,18 @@ begin -- behavioral
end behavioral;
-------------------------------------------------------------------------------
--FIXME: Generic-ize THIS!
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity ep_shift_reg is
generic(g_size : integer := 16);
port(
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment