Commit a3f85c63 authored by Wesley W. Terpstra's avatar Wesley W. Terpstra

eca: initialize RAMs with 0 instead of undefined values

parent 361090f2
......@@ -51,7 +51,7 @@ architecture rtl of eca_sdp is
type ram_t is array(2**g_addr_bits-1 downto 0) of
std_logic_vector(g_data_bits-1 downto 0);
signal ram : ram_t;
signal ram : ram_t := (others => (others => '0'));
begin
r : process(r_clk_i)
......
......@@ -58,7 +58,7 @@ architecture rtl of eca_tdp is
type ram_t is array(2**g_addr_bits-1 downto 0) of
std_logic_vector(g_data_bits-1 downto 0);
signal ram : ram_t;
signal ram : ram_t := (others => (others => '0'));
begin
a : process(a_clk_i)
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment