Commit aa77538e authored by Maciej Lipinski's avatar Maciej Lipinski

[board/svec] trivial bugfix: was missing std_logic declaration of input port

parent 6609da1c
......@@ -243,7 +243,7 @@ entity wrc_board_svec is
-- WRPC timing interface and status
---------------------------------------------------------------------------
pps_ext_i : in := '0';
pps_ext_i : in std_logic := '0';
pps_p_o : out std_logic;
pps_led_o : out std_logic;
tm_time_valid_o : out std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment