Commit b0658a59 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

modules/wr_endpoint: Tx timestamp strobe generation fixed

parent 093909a6
......@@ -6,7 +6,7 @@
-- Author : Tomasz Włostowski
-- Company : CERN BE-CO-HT section
-- Created : 2009-06-16
-- Last update: 2012-07-03
-- Last update: 2012-07-12
-- Platform : FPGA-generic
-- Standard : VHDL'93
-------------------------------------------------------------------------------
......@@ -443,6 +443,7 @@ begin
-- State EXTEND: send the carrier extension
-------------------------------------------------------------------------------
when TX_EXTEND =>
timestamp_trigger_p_a_o <= '0';
tx_is_k <= "11";
tx_odata_reg <= c_k23_7 & c_k23_7;
tx_catch_disparity <= '1';
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment