Commit b2a37277 authored by Peter Jansweijer's avatar Peter Jansweijer

Add prsnt_m2c_l_i input pin. Prevents prsnt_m2c_l line to be influenced by a non…

Add prsnt_m2c_l_i input pin. Prevents prsnt_m2c_l line to be influenced by a non driven FPGA pin after configuration done.
(it did break the JTAG chain after configuration.
parent 858b9fd2
......@@ -149,6 +149,7 @@ entity spec7_write_top is
reset_n_i : in std_logic;
suicide_n_o : out std_logic;
wdog_n_o : out std_logic;
prsnt_m2c_l_i : in std_logic;
------------------------------------------------------------------------------
-- Digital I/O Bulls-Eye connections
......@@ -278,6 +279,7 @@ begin -- architecture top
-- Never trigger PS_POR or PROGRAM_B
suicide_n_o <= '1';
wdog_n_o <= '1';
-- prsnt_m2c_l_i isn't used but must be defined as input.
-----------------------------------------------------------------------------
-- The WR PTP core board package (WB Slave + WB Master)
......
......@@ -188,6 +188,8 @@ set_property PACKAGE_PIN AC22 [get_ports suicide_n_o]
set_property IOSTANDARD LVCMOS25 [get_ports suicide_n_o]
set_property PACKAGE_PIN AC21 [get_ports wdog_n_o]
set_property IOSTANDARD LVCMOS25 [get_ports wdog_n_o]
set_property PACKAGE_PIN V19 [get_ports prsnt_m2c_l_i]
set_property IOSTANDARD LVCMOS25 [get_ports prsnt_m2c_l_i]
# SI570
# Bank 12 (HR) VCCO - 2.5 V
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment