Commit b60287ce authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

board spec/svec: default values for i2c eeprom

parent 6a425a6f
...@@ -57,9 +57,9 @@ package wr_spec_pkg is ...@@ -57,9 +57,9 @@ package wr_spec_pkg is
sfp_tx_fault_i : in std_logic := '0'; sfp_tx_fault_i : in std_logic := '0';
sfp_tx_disable_o : out std_logic; sfp_tx_disable_o : out std_logic;
sfp_los_i : in std_logic := '0'; sfp_los_i : in std_logic := '0';
eeprom_sda_i : in std_logic; eeprom_sda_i : in std_logic := '1';
eeprom_sda_o : out std_logic; eeprom_sda_o : out std_logic;
eeprom_scl_i : in std_logic; eeprom_scl_i : in std_logic := '1';
eeprom_scl_o : out std_logic; eeprom_scl_o : out std_logic;
onewire_i : in std_logic; onewire_i : in std_logic;
onewire_oen_o : out std_logic; onewire_oen_o : out std_logic;
......
...@@ -59,9 +59,9 @@ package wr_svec_pkg is ...@@ -59,9 +59,9 @@ package wr_svec_pkg is
sfp_tx_fault_i : in std_logic := '0'; sfp_tx_fault_i : in std_logic := '0';
sfp_tx_disable_o : out std_logic; sfp_tx_disable_o : out std_logic;
sfp_los_i : in std_logic := '0'; sfp_los_i : in std_logic := '0';
eeprom_sda_i : in std_logic; eeprom_sda_i : in std_logic := '1';
eeprom_sda_o : out std_logic; eeprom_sda_o : out std_logic;
eeprom_scl_i : in std_logic; eeprom_scl_i : in std_logic := '1';
eeprom_scl_o : out std_logic; eeprom_scl_o : out std_logic;
onewire_i : in std_logic; onewire_i : in std_logic;
onewire_oen_o : out std_logic; onewire_oen_o : out std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment