Commit b7bb7b6d authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

testbench/wr_minic: adding run_ci.do for continuous integration

parent eaa4a6d8
# Modelsim run script for continuous integration (with return code)
# execute: vsim -c -do "run_ci.do"
vsim -L unisim -t 10fs work.main -voptargs="+acc"
set StdArithNoWarnings 1
set NumericStdNoWarnings 1
do wave.do
run 100ms
quit -code [coverage attribute -name TESTSTATUS -concise]
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment