Commit bd858e7e authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

wr_pps_gen: use correct clock domain reset signal

parent d16c342d
......@@ -6,7 +6,7 @@
-- Author : Tomasz Wlostowski
-- Company : CERN BE-Co-HT
-- Created : 2010-09-02
-- Last update: 2012-04-24
-- Last update: 2012-07-13
-- Platform : FPGA-generics
-- Standard : VHDL
-------------------------------------------------------------------------------
......@@ -315,7 +315,7 @@ begin -- behavioral
p_retime_counter : process(clk_ref_i)
begin
if falling_edge(clk_ref_i) then
if rst_n_i = '0' or sync_in_progress = '0' or pps_ext_retimed = '0' then
if rst_synced_refclk = '0' or sync_in_progress = '0' or pps_ext_retimed = '0' then
retime_counter <= (others => '0');
else
retime_counter <= retime_counter + 1;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment