Commit c2459a6f authored by Maciej Lipinski's avatar Maciej Lipinski

Updated Btrain design to the wrpc that supports streamers statistics and

added tx/rx bfield to the SNMP diagnostics

Conflicts:

	hdl/top/BTrainSPEC/BtrainSpecTop.vhd
parent 33dc0f25
......@@ -50,7 +50,7 @@ use work.wr_transmission_wbgen2_pkg.all;
package wr_transmission_pkg is
constant c_WR_TRANS_ARR_SIZE_OUT : integer := c_STREAMERS_ARR_SIZE_OUT;
constant c_WR_TRANS_ARR_SIZE_OUT : integer := c_STREAMERS_ARR_SIZE_OUT+2;
constant c_WR_TRANS_ARR_SIZE_IN : integer := c_STREAMERS_ARR_SIZE_IN;
component xwr_transmission is
......
......@@ -160,6 +160,8 @@ architecture rtl of xwr_transmission is
signal regs_to_wb : t_wr_transmission_in_registers;
signal regs_from_wb : t_wr_transmission_out_registers;
signal dbg_word : std_logic_vector(31 downto 0);
signal dbg_tx_bfield : std_logic_vector(31 downto 0);
signal dbg_rx_bfield : std_logic_vector(31 downto 0);
signal start_bit : std_logic_vector(regs_from_wb.dbg_ctrl_start_byte_o'length-1+3 downto 0);
signal rx_data : std_logic_vector(g_data_width-1 downto 0);
signal wb_regs_slave_in : t_wishbone_slave_in;
......@@ -279,7 +281,7 @@ begin
latency_max_o => regs_to_wb.rx_stat3_rx_latency_max_i,
latency_min_o => regs_to_wb.rx_stat4_rx_latency_min_i,
latency_acc_overflow_o => regs_to_wb.sscr1_rx_latency_acc_overflow_i,
snmp_array_o => snmp_array_o,
snmp_array_o => snmp_array_o(c_STREAMERS_ARR_SIZE_OUT-1 downto 0),
snmp_array_i => snmp_array_i
);
......@@ -347,4 +349,24 @@ begin
regs_to_wb.dbg_data_i <= dbg_word;
regs_to_wb.dummy_dummy_i <=x"DEADBEEF";
p_bfield_for_SNMP: process(clk_sys_i)
begin
if rising_edge(clk_sys_i) then
if rst_n_i = '0' then
dbg_tx_bfield <= (others =>'0');
dbg_rx_bfield <= (others =>'0');
else
if(rx_valid = '1') then
dbg_rx_bfield <= rx_data(31+16 downto 16);
end if;
if(tx_valid_i = '1') then
dbg_tx_bfield <= tx_data_i(31+16 downto 16);
end if;
end if;
end if;
end process;
snmp_array_o(c_STREAMERS_ARR_SIZE_OUT) <= dbg_rx_bfield;
snmp_array_o(c_STREAMERS_ARR_SIZE_OUT+1) <= dbg_tx_bfield;
end rtl;
\ No newline at end of file
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment