Commit c52f2236 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski Committed by Grzegorz Daniluk

wrsw_txtsu: moved t_txtsu_timestamp structure definition to endpoint_pkg in wr_endpoint (wr-hdl)

parent 89b446bc
......@@ -3,14 +3,6 @@ use ieee.std_logic_1164.all;
package wrsw_txtsu_pkg is
type t_txtsu_timestamp is record
stb : std_logic;
tsval : std_logic_vector(31 downto 0);
port_id : std_logic_vector(5 downto 0);
frame_id : std_logic_vector(15 downto 0);
incorrect : std_logic;
end record;
type t_txtsu_timestamp_array is array(integer range <>) of t_txtsu_timestamp;
-- t_txtsu_timestamp was here, but now it's moved to wr_endpoint_pkg.
end wrsw_txtsu_pkg;
......@@ -6,7 +6,7 @@
-- Author : Tomasz Wlostowski
-- Company : CERN BE-Co-HT
-- Created : 2010-04-26
-- Last update: 2012-07-12
-- Last update: 2012-07-31
-- Platform : FPGA-generic
-- Standard : VHDL
-------------------------------------------------------------------------------
......@@ -38,6 +38,7 @@ use ieee.numeric_std.all;
library work;
use work.wishbone_pkg.all;
use work.endpoint_pkg.all;
use work.wrsw_txtsu_pkg.all;
entity xwrsw_tx_tsu is
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment