Commit cab82fab authored by Maciej Lipinski's avatar Maciej Lipinski

[wr streamers] implemented snapshot of the statistis

it was hard to get fully coherent statistics, especially if they were changing
fast (e.g. 250kHz in btrain). Setting snapshot bit to high copies at the same
instant all the counters to separate registers. as long as snapshot bit is high,
these registers are exposed to WB and SNMP. so one can read it as long as it
takes and the data is still coherent.
parent d5a58554
......@@ -90,6 +90,7 @@ package streamers_pkg is
tm_tai_i : in std_logic_vector(39 downto 0) := x"0000000000";
tm_cycles_i : in std_logic_vector(27 downto 0) := x"0000000";
reset_stats_i : in std_logic;
snapshot_ena_i : in std_logic := '0';
reset_time_tai_o : out std_logic_vector(39 downto 0) := x"0000000000";
reset_time_cycles_o : out std_logic_vector(27 downto 0) := x"0000000";
sent_frame_cnt_o : out std_logic_vector(g_cnt_width-1 downto 0);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment