Commit d279047e authored by Wesley W. Terpstra's avatar Wesley W. Terpstra

eca: update package use of all pipelined adders

parent 49de4844
......@@ -29,8 +29,7 @@ library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.wishbone_pkg.all;
use work.eca_pkg.all;
use work.eca_internals_pkg.all;
-- Expects registers for inputs. Async outputs.
-- c1_o is available after 1 cycle (2 once registered)
......
......@@ -28,8 +28,7 @@ library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.wishbone_pkg.all;
use work.eca_pkg.all;
use work.eca_internals_pkg.all;
-- Expects registers for inputs. Async outputs.
-- c1_o is available after 1 cycle (2 once registered)
......
......@@ -28,8 +28,7 @@ library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.wishbone_pkg.all;
use work.eca_pkg.all;
use work.eca_internals_pkg.all;
entity eca_wr_time is
port(
......
......@@ -27,6 +27,9 @@ for i in \
eca_tag_channel_tb.vhd \
eca_channel.vhd \
eca_channel_tb.vhd \
eca_adder.vhd \
eca_offset.vhd \
eca_wr_time.vhd \
eca_walker.vhd \
eca_search.vhd \
eca_tb.vhd; \
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment