Commit d8027727 authored by Stefan Rauch's avatar Stefan Rauch Committed by Wesley W. Terpstra

added reset output for cypress usb chip

parent 2d4e6dae
......@@ -41,7 +41,7 @@ set_global_assignment -name DEVICE EP2AGX125DF25C5
set_global_assignment -name TOP_LEVEL_ENTITY exploder_top
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 11.1
set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:45:41 FEBRUARY 14, 2012"
set_global_assignment -name LAST_QUARTUS_VERSION "11.1 SP1"
set_global_assignment -name LAST_QUARTUS_VERSION "11.1 SP2"
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
......@@ -288,4 +288,6 @@ set_global_assignment -name VHDL_FILE ../../../platform/altera/sys_pll/sys_pll.v
set_global_assignment -name VHDL_FILE ../../../platform/altera/dmtd_clk_pll/dmtd_clk_pll.vhd
set_global_assignment -name SIGNALTAP_FILE ../../../top/gsi_exploder/wr_core_demo/stp1.stp
set_global_assignment -name SIGNALTAP_FILE stp1.stp
set_location_assignment PIN_AC1 -to usb_reset
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to usb_reset
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
\ No newline at end of file
......@@ -48,7 +48,8 @@ entity exploder_top is
hpv : out std_logic_vector(7 downto 0);
la_port_o : out std_logic_vector(3 downto 0);
la_port_i : in std_logic_vector(1 downto 0)
la_port_i : in std_logic_vector(1 downto 0);
usb_reset : out std_logic
);
......@@ -633,7 +634,7 @@ begin
hpv(3) <= not ext_pps;
-- unused leds off
hpv(2) <= '1';
hpv(2) <= not '1';
......@@ -647,7 +648,8 @@ begin
hpv(1) <= not led_green;
hpv(0) <= not led_red;
sfp_tx_disable_o <= '0';
sfp_tx_disable_o <= '0';
usb_reset <= '0';
end rtl;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment