• Maciej Lipinski's avatar
    [streamers/review] cleaned up fixed-latency mechanism according to comments (and more) · c28ef0a3
    Maciej Lipinski authored
    - added DISABLED state to FSM to explicitely sit in DISABLED state
      when the mechanism is not enabled (before it was in reset/ALLOW)
    - changed std_logic_vectors to unsigned in number of places, this
      made the code more readable and concise
    - defined constants with zero latency (instead of having signal)
    - added delay to latency calculation so that the latency introduced
      by gc_sync_ffs is accounted for
    c28ef0a3
Name
Last commit
Last update
..
Manifest.py Loading commit data...
build_wb.sh Loading commit data...
dropping_buffer.vhd Loading commit data...
gc_escape_detector.vhd Loading commit data...
gc_escape_inserter.vhd Loading commit data...
rx_streamer.vhd Loading commit data...
streamers_pkg.vhd Loading commit data...
streamers_priv_pkg.vhd Loading commit data...
tx_streamer.vhd Loading commit data...
wr_transmission_wb.vhd Loading commit data...
wr_transmission_wb.wb Loading commit data...
wr_transmission_wbgen2_pkg.vhd Loading commit data...
xrtx_streamers_stats.vhd Loading commit data...
xrx_streamer.vhd Loading commit data...
xrx_streamers_stats.vhd Loading commit data...
xtx_streamer.vhd Loading commit data...
xtx_streamers_stats.vhd Loading commit data...
xwr_transmission.vhd Loading commit data...