• Maciej Lipinski's avatar
    [modules/timing]:added generic to provide the ref_clk rate · 4c9a10cb
    Maciej Lipinski authored
    The generic g_ref_clk_rate was dummy, i.e. never used. The module
    pulse_stamper is used with input reference clock (and tm_cycles_i)
    of 125MHz and 62.5MHz clock, in the wr_streamers. Added possibility
    to define what clock is used (default 125MHz or 62.5MHz). In any
    case, the output timestamp is of cycle period of 8ns.
    4c9a10cb
Name
Last commit
Last update
..
Manifest.py Loading commit data...
dmtd_phase_meas.vhd Loading commit data...
dmtd_with_deglitcher.vhd Loading commit data...
hpll_period_detect.vhd Loading commit data...
multi_dmtd_with_deglitcher.vhd Loading commit data...
oserdes_4_to_1.vhd Loading commit data...
pulse_gen.vhd Loading commit data...
pulse_stamper.vhd Loading commit data...