Commit 500edebb authored by Projects's avatar Projects

Added missing ports for gn4124 component declaration.

parent e65f57f1
......@@ -296,6 +296,9 @@ architecture rtl of wr_nic_dio_top is
csr_dat_i : in std_logic_vector(31 downto 0);
csr_ack_i : in std_logic;
csr_stall_i : in std_logic;
csr_err_i : in std_logic := '0';
csr_rty_i : in std_logic := '0';
csr_int_i : in std_logic := '0';
---------------------------------------------------------
-- DMA wishbone interface (master pipelined)
......@@ -308,7 +311,10 @@ architecture rtl of wr_nic_dio_top is
dma_cyc_o : out std_logic;
dma_dat_i : in std_logic_vector(31 downto 0) := x"00000000";
dma_ack_i : in std_logic := '0';
dma_stall_i : in std_logic := '0'
dma_stall_i : in std_logic := '0';
dma_err_i : in std_logic := '0';
dma_rty_i : in std_logic := '0';
dma_int_i : in std_logic := '0'
);
end component; -- gn4124_core
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment