Commit 6b578c8d authored by Marek Gumiński's avatar Marek Gumiński

Fixed a non static assignment.

It caused a warning.
parent a25f7c8f
......@@ -66,12 +66,15 @@ end swc_rd_wr_ram;
architecture rtl of swc_rd_wr_ram is
signal rstb : std_logic;
signal wen : std_logic_vector(g_data_width/8-1 downto 0);
begin -- rtl
wen <= (others => we_i);
-- xpm_memory_sdpram: Simple Dual Port RAM
-- Xilinx Parameterized Macro, Version 2017.4
xpm_memory_sdpram_inst : xpm_memory_sdpram
xpm_memory_sdpram_inst : xpm_memory_sdpram
generic map (
-- Common module generics
......@@ -113,7 +116,7 @@ xpm_memory_sdpram_inst : xpm_memory_sdpram
-- Port A module ports
clka => clk_i,
ena => '1',
wea => (others => we_i),
wea => wen,
addra => wa_i,
dina => wd_i,
injectsbiterra => '0',
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment