Commit 043a60ca authored by Maciej Lipinski's avatar Maciej Lipinski

swcore[v2->v3 port]: added Tom's pWB<->WRF adapters into the wrapper, so we have…

swcore[v2->v3 port]: added Tom's pWB<->WRF adapters into the wrapper, so we have a swcore which has pWB I/F, next step: change the simulation to test how it works
parent 5e4a2c84
......@@ -6,6 +6,7 @@
# "swc_pipelined_mux.vhd",
# "swc_async_multiport_mem.vhd"]
files = [
"swc_swcore_pkg.vhd",
"swc_block_alloc.vhd",
......@@ -27,9 +28,5 @@ files = [
"swc_pck_transfer_output.vhd",
"swc_prio_encoder.vhd",
"swc_rr_arbiter.vhd",
"generic_ssram_dualport_singleclock.vhd",
#"wr_fec_pkg.vhd",
#"wr_wb_to_wrf.vhd",
#"xswc_core.vhd"
#"../../ip_cores/general-cores"
"xswc_core.vhd",
]
\ No newline at end of file
This diff is collapsed.
......@@ -9,6 +9,7 @@ vlog_opt="+incdir+../../../sim "
modules = {"local":
[
"../../ip_cores/wr-cores",
"../../ip_cores/general-cores/modules/genrams/",
"../../modules/wrsw_swcore",
],
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment