Commit 14663820 authored by Maciej Lipinski's avatar Maciej Lipinski

swcore[v2->v3 port]: trying to synthesise, few hacks to make it work temprarily :(

parent 60328440
files = ["swc_swcore_pkg.vhd",
"swc_block_alloc.vhd",
"swc_core.vhd",
"swc_input_block.vhd",
"swc_lost_pck_dealloc.vhd",
"swc_multiport_linked_list.vhd",
"swc_multiport_page_allocator.vhd",
"swc_multiport_pck_pg_free_module.vhd",
"swc_ob_prio_queue.vhd",
"swc_output_block.vhd",
"swc_packet_mem.vhd",
"swc_packet_mem_read_pump.vhd",
"swc_packet_mem_write_pump.vhd",
"swc_page_alloc.vhd",
"swc_pck_pg_free_module.vhd",
"swc_pck_transfer_arbiter.vhd",
"swc_pck_transfer_input.vhd",
"swc_pck_transfer_output.vhd",
"swc_prio_encoder.vhd",
"swc_rr_arbiter.vhd",
"generic_ssram_dualport_singleclock.vhd"]
......@@ -44,7 +44,7 @@ use ieee.numeric_std.all;
library work;
use work.swc_swcore_pkg.all;
use work.platform_specific.all;
--use work.platform_specific.all;
......
......@@ -308,12 +308,12 @@ begin -- syn
aa_i => usecnt_mem_wraddr,
qa_o => open,
wea_i => usecnt_mem_wr,
bwea_i => ones(g_use_count_bits/8 -1 downto 0),
bwea_i => ones((g_use_count_bits+7)/8 -1 downto 0),
ab_i => usecnt_mem_rdaddr,
qb_o => usecnt_mem_rddata,
db_i => ones(g_use_count_bits-1 downto 0),
bweb_i => ones(g_use_count_bits/8-1 downto 0),
bweb_i => ones((g_use_count_bits+7)/8-1 downto 0),
web_i => '0'
);
......
......@@ -54,7 +54,7 @@ package swc_swcore_pkg is
-- number of switch ports
constant c_swc_num_ports : integer := 11;
constant c_swc_num_ports : integer := 7; --c_NUM_PORTS
-- size of the packet memory in words (1 word = 1 ctrl + data sequence)
constant c_swc_packet_mem_size : integer := 65536;
......@@ -83,7 +83,8 @@ package swc_swcore_pkg is
constant c_swc_freeing_fifo_log2 : integer := integer(CEIL(LOG2(real(c_swc_freeing_fifo_size-1))));
constant c_swc_page_addr_width : integer := integer(CEIL(LOG2(real(c_swc_packet_mem_num_pages-1))));
constant c_swc_usecount_width : integer := integer(CEIL(LOG2(real(c_swc_num_ports-1))));
--todo:
constant c_swc_usecount_width : integer := 4;-- HACK... integer(CEIL(LOG2(real(c_swc_num_ports-1))));
constant c_swc_page_offset_width : integer := integer(CEIL(LOG2(real(c_swc_page_size / c_swc_packet_mem_multiply))));
constant c_swc_packet_mem_addr_width : integer := c_swc_page_addr_width + c_swc_page_offset_width;
constant c_swc_pump_width : integer := c_swc_data_width + c_swc_ctrl_width;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment