Commit 6e1d85ff authored by Maciej Lipinski's avatar Maciej Lipinski

TRU: some more top-integration

parent 329bd0c9
......@@ -639,8 +639,8 @@ begin
rsp_ack_i => rtu_rsp_ack(g_num_ports-1 downto 0),
------ new TRU stuff ----------
tru_req_o => tru_req,
tru_resp_i => tru_resp,
-- rtu2tru_o => open,
tru_resp_i => tru_resp,
rtu2tru_o => rtu2tru,
-------------------------------
wb_i => cnx_master_out(c_SLAVE_RTU),
wb_o => cnx_master_in(c_SLAVE_RTU));
......
......@@ -312,6 +312,7 @@ package wrsw_top_pkg is
rsp_ack_i : in std_logic_vector(g_num_ports-1 downto 0);
tru_req_o : out t_tru_request;
tru_resp_i : in t_tru_response;
rtu2tru_o : out t_rtu2tru;
wb_i : in t_wishbone_slave_in;
wb_o : out t_wishbone_slave_out);
end component;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment