Commit 885b5829 authored by Maciej Lipinski's avatar Maciej Lipinski

swcore[new mpm]: testbenches and wrappers changed to include new (for new mpm,…

swcore[new mpm]: testbenches and wrappers changed to include new (for new mpm, i/o blocks) parameters
parent b7f96c7c
......@@ -399,9 +399,10 @@ module main_generic;
wait_cycles(500);
for(j=0;j<100;j++)
send_random_packet(src,txed, 0 /*port*/, 0 /*drop*/,7 /*prio*/, 2 /*mask*/);
send_random_packet(src,txed, 0 /*port*/, 0 /*drop*/,7 /*prio*/, 1 /*mask*/);
//for(j=0;j<`c_num_ports;j++) begin
/*
for(j=0;j<16;j++) begin
fork
automatic int p = j;
......@@ -416,7 +417,7 @@ module main_generic;
join
//wait_cycles(500);
end
*/
wait_cycles(80000);
transferReport(); // here we wait for all pcks to be received and then make statistics
......
......@@ -261,12 +261,13 @@ U_xswc_core: xswc_core
g_wb_data_width => 16,
g_wb_addr_width => 2,
g_wb_sel_width => 2,
g_wb_ob_ignore_ack => false,
g_mpm_mem_size => 65536,
g_mpm_page_size => 64,
g_mpm_ratio => 2,
g_mpm_fifo_size => 4,
g_mpm_fetch_next_pg_in_advance => false,
g_ctrl_width => 4,
g_packet_mem_multiply => 16,
g_input_block_fifo_size => (2 * 16),
......
......@@ -67,11 +67,13 @@ module swc_core_wrapper_generic
.g_wb_data_width (`c_wb_data_width),
.g_wb_addr_width (`c_wb_addr_width),
.g_wb_sel_width (`c_wb_sel_width),
.g_wb_ob_ignore_ack (`c_wb_ob_ignore_ack),
.g_mpm_mem_size (`c_mpm_mem_size),
.g_mpm_page_size (`c_mpm_page_size),
.g_mpm_ratio (`c_mpm_ratio),
.g_mpm_fifo_size (`c_mpm_fifo_size),
.g_mpm_fetch_next_pg_in_advance (`c_mpm_fetch_next_pg_in_advance),
.g_ctrl_width (`c_ctrl_width),
.g_packet_mem_multiply (`c_packet_mem_multiply),
......
......@@ -31,11 +31,13 @@
`define c_mpm_page_size 64 //c_swc_page_size,
`define c_mpm_ratio 2
`define c_mpm_fifo_size 4
`define c_mpm_fetch_next_pg_in_advance 0
// these are hard-coded into testbench
`define c_wb_data_width 16 //c_swc_data_width,
`define c_wb_addr_width 2 //
`define c_wb_sel_width 2 //
`define c_wb_ob_ignore_ack 0 //
`define c_pck_pg_free_fifo_size ((65536/64)/2) //c_swc_freeing_fifo_size,
`define c_input_block_cannot_accept_data "drop_pck" //"stall_o", "rty_o" -- (xswc_input_block) Don't CHANGE !
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment