Commit af8f0e72 authored by Maciej Lipinski's avatar Maciej Lipinski

swcore: allocator's memory init

parent 2577f9e4
......@@ -344,6 +344,7 @@ begin -- syn
first_addr <= '0';
elsif(l0_wr_addr = ones) then
was_reset <='0';
l0_wr <= '0';
else
l0_wr_addr <= std_logic_vector(unsigned(l0_wr_addr) + 1);
end if;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment