Commit b7960025 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

adding missing clk_ext_mul_locked_i so that the simulation does not complain

parent fba090a1
......@@ -190,6 +190,7 @@ begin -- rtl
-- clk_sys_i => clk_sys_i,
clk_aux_i => clk_aux_i,
clk_ext_mul_i => '0',
clk_ext_mul_locked_i=> '1',
cpu_wb_i => cpu_wb_in,
cpu_wb_o => cpu_wb_out,
cpu_irq_n_o => cpu_irq_n,
......
......@@ -281,6 +281,7 @@ package wrsw_top_pkg is
clk_dmtd_i : in std_logic;
clk_aux_i : in std_logic;
clk_ext_mul_i : in std_logic;
clk_ext_mul_locked_i: in std_logic;
clk_sys_o : out std_logic;
cpu_wb_i : in t_wishbone_slave_in;
cpu_wb_o : out t_wishbone_slave_out;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment