Commit 4d1219e4 authored by Tristan Gingold's avatar Tristan Gingold

vtu_blk: fix data order for oserdes. Change the test for small delays.

parent 656077a2
......@@ -38,7 +38,7 @@ memory-map:
description: Start ignored as VTU not ready
comment: >
The start pulse hasn't been transferred to the VTU because
it wasn't ready. Either the RF clock is was not present, or
it wasn't ready. Either the RF clock was not present, or
the start pulse came too early. Changed when the next
start pulse is detected.
range: 6
......
......@@ -507,14 +507,14 @@ begin
TQ => open, -- 1-bit output: 3-state control
CLK => clk_rf_i, -- 1-bit input: High speed clock
CLKDIV => clk_vtu_i, -- 1-bit input: Divided clock
D1 => sync_data_out(0), -- D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each)
D2 => sync_data_out(1),
D3 => sync_data_out(2),
D4 => sync_data_out(3),
D5 => sync_data_out(4),
D6 => sync_data_out(5),
D7 => sync_data_out(6),
D8 => sync_data_out(7),
D1 => sync_data_out(7), -- D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each)
D2 => sync_data_out(6),
D3 => sync_data_out(5),
D4 => sync_data_out(4),
D5 => sync_data_out(3),
D6 => sync_data_out(2),
D7 => sync_data_out(1),
D8 => sync_data_out(0),
OCE => '1', -- 1-bit input: Output data clock enable
RST => rst_vtu, -- 1-bit input: Reset
SHIFTIN1 => '0', -- SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each)
......
......@@ -64,8 +64,11 @@ begin
write16_pl (clk_sys, wb_in, wb_out, ADDR_TRIGUNIT_REGS_TRIGDIAG + ADDR_VTUDIAG_REGS_CONTROL, x"0000");
-- Program the vtu
-- Delay between start and the first pulse.
write64be_pl (clk_sys, wb_in, wb_out, ADDR_TRIGUNIT_REGS_BVALUEOFFLINE, x"0000_0000_0000_0020");
write64be_pl (clk_sys, wb_in, wb_out, ADDR_TRIGUNIT_REGS_HTVALUEOFFLINE, x"0000_0000_0000_0030");
-- Delay between the pulses.
write64be_pl (clk_sys, wb_in, wb_out, ADDR_TRIGUNIT_REGS_HTVALUEOFFLINE, x"0000_0000_0000_0008");
-- Number of pulses.
write64be_pl (clk_sys, wb_in, wb_out, ADDR_TRIGUNIT_REGS_WVALUEOFFLINE, x"0000_0000_0000_0004");
-- Windowed mode, enable.
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment