Commit 93d483df authored by Tristan Gingold's avatar Tristan Gingold

vtuCore: improve style.

parent 3ddd5ae0
......@@ -1292,7 +1292,6 @@ architecture vtuCore of vtuCore is
signal PlayingMem_i : std_logic;
signal MemAddrIsZero : std_logic;
signal FirstSyncArrived : std_logic := '0';
signal DisconnectStart : std_logic;
signal Mem_AddrZero : std_logic_vector(14 downto 0 );
signal Mem_RdData_prev : std_logic_vector(7 downto 0 ) := (others => '0');
signal OE_1 : std_logic;
......@@ -1355,6 +1354,15 @@ begin
CounterRst => counterReset,
Run => Run_seq);
-- Select start input.
Start_i <= Start when UseSyncAsStart = '0' else SyncPulse_i;
-- Start sequencer on start signal if sequencer mode selected.
Start_seq <= Start_i when (SyncLessOperationMode or PlayMemoryMode) = '0' else '0';
-- Stop sequencer on stop signal or if values aren't correct.
Stop_seq <= Stop or wrongValue;
B_DataShifterHT_SyncLess: entity work.vtuDataShifter
generic map (N => 64,
g_DisableDoubleSync => '0')
......@@ -1451,29 +1459,11 @@ begin
wrongW <= wrongW_s;
Stop_seq <= Stop or wrongValue;
process (Start, SyncPulse_i, UseSyncAsStart)
begin
case UseSyncAsStart is
when '0' =>
Start_i <= Start;
when others =>
Start_i <= SyncPulse_i;
end case;
end process;
process (Start_i, DisconnectStart)
begin
case DisconnectStart is
when '0' =>
Start_seq <= Start_i;
when others =>
Start_seq <= '0';
end case;
end process;
-- True if running.
Run_i <= Run_seq or RunSyncLess or PlayingMem;
Run <= Run_i;
SwitchOutput <= SwitchtoHT or (not Run_seq);
process (DataOut_1, DataOut_2, SwitchOutput)
begin
......@@ -1487,15 +1477,9 @@ begin
end case;
end process;
process (DataOut_seq_i, RstOrStopSeq)
begin
case RstOrStopSeq is
when '0' =>
DataOut_seq <= DataOut_seq_i;
when others =>
DataOut_seq <= (others => '0');
end case;
end process;
RstOrStopSeq <= Rst or Stop_seq;
DataOut_seq <= DataOut_seq_i when RstOrStopSeq = '0' else (others => '0');
process (DataOut_1, DataOut_2, SwitchtoHT)
begin
......@@ -1545,12 +1529,6 @@ begin
HTSwitchEna <= (OE_2 or OE_SyncLess) and htSwitching;
RstOrStopSeq <= Rst or Stop_seq;
DisconnectStart <= SyncLessOperationMode or PlayMemoryMode;
Run <= Run_i;
process (Clk)
begin
if Clk'event and Clk = '1' then
......@@ -1562,7 +1540,7 @@ begin
end if;
end process;
process (PulseCount , wValue_effective)
process (PulseCount, wValue_effective)
begin
if PulseCount = wValue_effective then
WindowDone <= '1';
......@@ -1601,8 +1579,6 @@ begin
wValueOne_seq <= (wValueOne or SinglePulseMode) and (not InfiniteWindow);
SwitchOutput <= SwitchtoHT or (not Run_seq);
InfiniteWindow <= InfiniteWindowMode or LowFreqGenerationMode;
process (DataOutHTSyncLess , SyncLessStartData , SetStartData)
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment