Commit f056bd1c authored by John Gill's avatar John Gill

Added fix for stop/start vtu mode.

parent 6c84fbe5
......@@ -133,7 +133,8 @@ architecture rtl of vtu_blk is
signal soft_stop_ready : std_logic;
signal soft_stop_vtu : std_logic;
signal stop_in_vtu : std_logic;
signal stop_vtu : std_logic;
signal stop_vtu_pulse : std_logic;
signal vtu_stop : std_logic;
signal soft_start : std_logic;
......@@ -246,10 +247,24 @@ begin
clk_i => clk_vtu_i,
rst_n_a_i => rst_vtu_n,
d_i => stop_i,
q_o => stop_in_vtu
q_o => stop_vtu
);
vtu_stop <= stop_in_vtu or soft_stop_vtu;
inst_stop_edge: entity work.gc_edge_detect
generic map (
g_async_rst => False,
g_pulse_edge => "positive",
g_clock_edge => "positive"
)
port map (
clk_i => clk_vtu_i,
rst_n_i => rst_vtu_n,
data_i => stop_vtu,
pulse_o => stop_vtu_pulse
);
vtu_stop <= stop_vtu_pulse or soft_stop_vtu;
-- Handle start pulse.
process (clk_sys_i)
......
......@@ -112,10 +112,10 @@ architecture rtl of wr2rf_lemo is
signal rf1_t2_stop : std_logic;
signal rf2_t1_stop : std_logic;
signal rf2_t2_stop : std_logic;
signal rf1_t1_start_r : std_logic;
signal rf1_t2_start_r : std_logic;
signal rf2_t1_start_r : std_logic;
signal rf2_t2_start_r : std_logic;
signal rf1_t1_start_r : std_logic_vector(3 downto 0);
signal rf1_t2_start_r : std_logic_vector(3 downto 0);
signal rf2_t1_start_r : std_logic_vector(3 downto 0);
signal rf2_t2_start_r : std_logic_vector(3 downto 0);
signal rf1_t1_stop_r : std_logic;
signal rf1_t2_stop_r : std_logic;
signal rf2_t1_stop_r : std_logic;
......@@ -207,21 +207,21 @@ begin
process (clk_sys_i) is
begin
if rising_edge(clk_sys_i) then
rf1_t1_start_r <= rf1_t1_start;
rf1_t2_start_r <= rf1_t2_start;
rf2_t1_start_r <= rf2_t1_start;
rf2_t2_start_r <= rf2_t2_start;
rf1_t1_stop_r <= rf1_t1_stop;
rf1_t2_stop_r <= rf1_t2_stop;
rf2_t1_stop_r <= rf2_t1_stop;
rf2_t2_stop_r <= rf2_t2_stop;
rf1_t1_start_r <= rf1_t1_start & rf1_t1_start_r(3 downto 1);
rf1_t2_start_r <= rf1_t2_start & rf1_t2_start_r(3 downto 1);
rf2_t1_start_r <= rf2_t1_start & rf2_t1_start_r(3 downto 1);
rf2_t2_start_r <= rf2_t2_start & rf2_t2_start_r(3 downto 1);
rf1_t1_stop_r <= rf1_t1_stop;
rf1_t2_stop_r <= rf1_t2_stop;
rf2_t1_stop_r <= rf2_t1_stop;
rf2_t2_stop_r <= rf2_t2_stop;
end if;
end process;
rf1_t1_start_o <= rf1_t1_start_r;
rf1_t2_start_o <= rf1_t2_start_r;
rf2_t1_start_o <= rf2_t1_start_r;
rf2_t2_start_o <= rf2_t2_start_r;
rf1_t1_start_o <= rf1_t1_start_r(0);
rf1_t2_start_o <= rf1_t2_start_r(0);
rf2_t1_start_o <= rf2_t1_start_r(0);
rf2_t2_start_o <= rf2_t2_start_r(0);
rf1_t1_stop_o <= rf1_t1_stop_r;
rf1_t2_stop_o <= rf1_t2_stop_r;
rf2_t1_stop_o <= rf2_t1_stop_r;
......
......@@ -341,8 +341,8 @@ begin
init_hwinfo_ident_jtagRemoteDisable_i => '1',
init_hwinfo_ident_extendedID_i => "0000000",
init_hwinfo_ident_cardID_i => x"56",
init_hwinfo_firmwareVersion_i => x"0000_05_00",
init_hwinfo_memMapVersion_i => x"0000_05_00",
init_hwinfo_firmwareVersion_i => x"0000_06_00",
init_hwinfo_memMapVersion_i => x"0000_06_00",
init_hwinfo_echo_echo_o => open,
init_fw_update_i => wb_fw_update_in,
......
......@@ -43,8 +43,8 @@ entity wr2rf_vme is
generic (
g_simulation : integer := 0;
g_dpram_size : integer := 131072/4;
-- g_dpram_initf : string := "../../../../dependencies/wrpc-sw/wrc.bram";
g_dpram_initf : string := "";
g_dpram_initf : string := "../../../../dependencies/wrpc-sw/wrc.bram";
-- g_dpram_initf : string := "";
g_diag_id : integer := 0;
g_diag_ver : integer := 0;
g_diag_ro_size : integer := 0;
......@@ -1653,7 +1653,13 @@ begin
ila_sigs(15) <= wb_wrc_out.ack;
ila_sigs(63 downto 16) <= vme_dbg;
ila_sigs(79 downto 64) <= rf1_tu_ila_dbg(15 downto 0);
--ila_sigs(79 downto 64) <= rf1_tu_ila_dbg(15 downto 0);
ila_sigs(69 downto 64) <= rf1_t1_start_sel;
ila_sigs(70) <= rf1_t1_start;
ila_sigs(71) <= rf1_t2_start;
ila_sigs(77 downto 72) <= rf1_t1_stop_sel;
ila_sigs(78) <= rf1_t1_stop;
ila_sigs(79) <= rf1_t2_stop;
ila_sigs(80) <= iodelay_reset;
ila_sigs(81) <= rf1_t1_rst;
ila_sigs(82) <= rf1_t2_rst;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment